Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

About Positive and negative edge triggering

Status
Not open for further replies.

qwertyqwq

Member
Hi all. I want to make a positive and negative edge trigger circuit. May you guys help me...
First of all the goal is to trigger clock pin of a d flip flop (cd4013). The project is just about to learn something interesting.
Incıming signal is variable square wave %50 duty cycled. I want to generate a clock signal from that square wave. Every changing edges (rising & falling) the circuit will generate a pulse for clock pin of the flip flop. It will not change by input frequency. By the way bedore i wrote here , i also tried to do some but it wasnt desired one. The scheme is here ;
B57AB940-3BCA-4002-B3CE-2F69CACDA38D.png

This circuit is working on high freq about 200hz-25 khz. But on less is not working well. I have also changed caps and resistor different type of values but the result didnt changed. In theory it was working. And also tried with ltspice. All things working as i desired. But in pratic ...:sorry:
Some of the websites also mentioned sometihng like that;
E44A458E-C12B-4A8D-BE57-613FC2BA0484.png

A0AA0BB0-AB9C-4EBA-9E41-27EB16584F51.png

But this time in simulation softwares the time width is too small then i wanted to generate.
So i thought you guys may help me about that. If you have any spesific diagram for that , i can take it gladly ;)

Edit: The reason why im not using D Latch is all i want to do generate edge trigger.
 
Last edited:
perhaps your trigger problems are due to logic family impedance. Rather than slow 4xxx series (assumption) Use 74ALC' or 74HC' series The pulse width can be very short <20ns which limits your maximum frequency but has no effect on minimum frequency when going into an edge trigger circuit.
 
perhaps your trigger problems are due to logic family impedance. Rather than slow 4xxx series (assumption) Use 74ALC' or 74HC' series The pulse width can be very short <20ns which limits your maximum frequency but has no effect on minimum frequency when going into an edge trigger circuit.
Hi steward thanks for reply all.
I dont think the reason about slow cd4013. Cause when i look at output of the XOR gate with scope , some of edge signals are missing. Sometimes it can detect edge espesially at high frequency , but sometimes not at lower frequemcy that i mentioned before.
Thanks.
Edit: xor gate is 74hc series.
 
What are you feeding the XOR gate circuit with?

That must be a logic level signal with a fast edge for the R-C delay to function properly.
If it's a slow signal you could feed it through a schmitt gate such as a 4093 or 40106?
 
Thanks
Actually yes i though that before so you are right the signal is rising and falling slowly. After i saw that i put a buffer after it. The buffer is Nxp’s HEF4050bp. Now it looks like sharo enough. What do you think ? Should i change the buffer against two 74hc14 schmitts cause i dont have 4093 or 40106 ics .
 
Hi all. I want to make a positive and negative edge trigger circuit. May you guys help me...
First of all the goal is to trigger clock pin of a d flip flop (cd4013). The project is just about to learn something interesting.
Incıming signal is variable square wave %50 duty cycled. I want to generate a clock signal from that square wave. Every changing edges (rising & falling) the circuit will generate a pulse for clock pin of the flip flop. It will not change by input frequency. By the way bedore i wrote here , i also tried to do some but it wasnt desired one. The scheme is here ;
View attachment 123013
This circuit is working on high freq about 200hz-25 khz. But on less is not working well. I have also changed caps and resistor different type of values but the result didnt changed. In theory it was working. And also tried with ltspice. All things working as i desired. But in pratic ...:sorry:
Some of the websites also mentioned sometihng like that;View attachment 123014
View attachment 123015
But this time in simulation softwares the time width is too small then i wanted to generate.
So i thought you guys may help me about that. If you have any spesific diagram for that , i can take it gladly ;)

Edit: The reason why im not using D Latch is all i want to do generate edge trigger.

hello,

if your using your custom edge trigger circuit to clock a CD4013, it won’t clock on a negative edge. The CD4013 clock input has a built-in positive edge trigger. So it will only clock on a positive edge.

eT
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top