Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

Wireless Audio/Video Transmitter

Status
Not open for further replies.
wireless video transmitters.

Hi everyone.
I just purchased a video receiver model # PRX900B has any one used this before and know of this unit?

Thanks John
 
hi

simple one with complete scheme and pcb layout

ENJOY

What is the substitute of BFY90, I think any high ft transistor will do.
Please detail L1, L2, L3 windings, I am about to build this project!
It is almost impossible to construct this inductors. Please give SWG for wire.

Thanks
 
Construction of Inductors and tuning it to a channel for maximum efficiency is difficult. Otherwise it looks simple. To make a successful model more description is necessary.
 
TV Transmitter is complicated system, many people fail to make it because the wrong to choose amplifier type to boost the RF. You must using very linear amplifier because the amplifier will process Audio and Video in the same time and it have very wideband (about 8Mhz). I prefer you to use MMIC amplifier that have wideband, eg BG2709, UPC1678GV
 
The TDA7000 is not made anymore.
It was an extremely poor quality FM radio.
 
evert384@hotmail.com

Hi there,

I build a video-audio transmitter.
I use the schematic from Henry from Indonesia and I must say "it works fine"!
Frequency 470 - 860 Mhz, 15 mW.
Tell me/us about your tv transmitter project.
I can sent you the schematic and the HEX code for the PIC, let me now![

Evert (from Holland)
 
somewhere in my boxes of stuff, i have a Blonder-Tongue agile modulator. there are a lot of cable TV companies that will likely be dumping these on the market soon. they can be switch set to any channel from 2 to 125 (cable). their output is 30dBm (1 watt). since the digital switchover, a lot of head-end equipment has become obsolete, while cable companies are still using analog, they will be upgrading their equipment anyway, which will translate into a lot of head-end modules out there, many of them will probably show up on e-slay or surplus electronics outlets.
 
It is illegal in most countries to be a TV station without a licence and without a certified transmitter.
 
its not an easy task to buold a transmitter even if you have circuit, frequency stability,tuning, and harmonics would be a great challange.

if your interest is only to broadcast, then better try using a CATV modulator and some amplifier to boost it. make sure you are aware of local regulation for broadcasting.
 
here in the US, the new rules for "whitespace" are basically this:
whitespace channels vacated by TV stations are license-free
ERP must be 4 watts or less
must keep the 6Mhz wide channel assignments (i.e. you can't do 7.5Mhz wide WiMax)
cannot interfere with any licensed operations that may be present on the channel (there may be analog low power TV on some of the whitespace channels)
cannot use channel 37
cannot interfere with wireless microphone users
 
hi everyone ....

i am doing project on huffman coding ...and i started 4 days before .
and i dont know how to convert string to std_logic_vector.....

while i have use this method but this is not working please chek....and tell me best
correction.


(------

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.numeric_bit.all;
package utility_pkg is
function Convert_From_String(str : STRING) return std_logic_vector;
end utility_pkg;
package body utility_pkg is
function Convert_From_String(str : STRING) return std_logic_vector is
variable result : std_logic_vector((str'length-1) downto 0) := (others => '-');
variable index : INTEGER := str'length-1;
variable str_1 : STRING(1 to 6);
begin
for i in str'range loop
str_1(1) := str(i);
result(index):=Convert_From_String(str_1);
index := index - 1;
end loop;
return result;
end Convert_From_String;

end utility_pkg;
----) please check.....
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top