Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

Who can help me to program matrix in VHDL?

Status
Not open for further replies.

rose

New Member
Hi everyone ,
I want to program this matrix in VHDL,I find VHDL very complicated.
Thank you.
 

Attachments

  • matrix.zip
    15.7 KB · Views: 255
A Doulos VHDL training course is a good way to help you. Training Courses
They do a superb 3 day introduction to VHDL course, I think it's about $2000 rough guess.

Or... become a senior designer & get ur colleagues to code VHDL for you! ;)
 
Last edited:
Hi,
I must use VHDL because it is a work that I must give in September to my teachers also itself dosen't understand vhdl.
 
VHDL is a complex language that takes months to become proficient in. For you to have to learn it and complete an assignment in a few weeks sounds unreasonable. I admire your spirit though.
 
Hi,
I must use VHDL because it is a work that I must give in September to my teachers also itself dosen't understand vhdl.

Rose? (I presume english is not your first language)

Are you saying your teachers do not understand VHDL either?
 
Hi Marcbarker,
that's true English is not my first language and yes my teachers do not understand VHDL either.

How do you find my English?

Cheers.
 
Hi Marcbarker,
that's true English is not my first language and yes my teachers do not understand VHDL either.

How do you find my English?

Cheers.

Your teachers not knowing VHDL either. Maybe you can teach them!

Your english is adequate for me. But I do have an advantage, because I teach english. People who only know english as their only language have a tendency to be intolerant towards people who cannot use perfect english. What is 'perfect english' anyway! :) I think it's taken too seriously. I beleive they do not behave intolerantly deliberately, but it is because they mis-understand what is written and that they make an error in judgment. Only someone who is writing as a stranger, using a foriegn language can appreciate what it is like at the other screen and keyboard in a non-english country.

Going back to VHDL.

This might help https://www.electro-tech-online.com/custompdfs/2009/08/Speech.pdf You'll find some VHDL code related to the matrix you posted in the XLS


I think this is not the right forum to post your question. Try here. Hope this helps.
edit: changed link: VHDL Forum - Tek-Tips

PS the software tools you'll need to do VHDL is something like Viewlogic, Synopsys, Leonardo, ModelSim.
 
Last edited:
Hi Rose,

I will try to help you for the coding in VHDL/ Verilog. These are hardware discriptive languages and personaly i would prefer Verilog.

I viewed your matrix file but could not able to understand what you want to do??

If you have any details of it forward that too.

Or anybody else who have understood from the file what the rose is trying to convey please let me know.
 
Hi Vikky,

I 've attached the matrix with the paremetrs.

Cheers
 

Attachments

  • matrix.zip
    15.5 KB · Views: 241
Hi Vikky,

this is all the paramters to understand the matrix format.But my own matrix
contains only 34 paramters which changing every 4ms by line.

Regard.
 
Hi,

i'm sorry i miss to attach the documentation.

Regard
 

Attachments

  • Doc2.doc
    138.5 KB · Views: 223
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top