Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

VHDL programming

Status
Not open for further replies.

tushiro

New Member
Hi guys...

Im not sure if this is the right category to post this thread...

I just want to ask regarding VHDL programming. I know nothing about this language but Im very much interested to learn. my focus right now is on embedded systems, I am currently using C programming.

here's my concern:
1. how and where will I use VHDL?
2. does it require a compiler and a special editor?

thanks...
 
1. WHen working with programmable logic (ie. FPGAs). It is used to define the way the hardware inside the programmable logic is to be wired up. It is not like software code where you type in instructions that are to be executed by a processor. Rather, it is like more like typing in a netlist or some other specifications that define how logic gates are to be physically wired up.

It is analgous to typing down writing out how a million logic gates are supposed to be connected, and then sitting down with a million logic gate ICs and wiring them up. It is much more hardware-oriented (technically, entirely) rather than your typical software code.

It is used to test digital circuit designs like ASICs and processors before the hugely expensive manufacture process since you can configure the hardware right in front of you, and is used for very high performance applications that require massive parallel operations which a processor cannot do.

2. You will need special software just like how you need a compiler for any programming language. Technically, it is a VHDL synthesizer, and not a compiler since you are not compiling code but synthesizing hardware.
 
Last edited:
Just to add to what dknguyen said:

There are two main forms of higher level programming languages for PLDs, Verilog and VHDL.

If you say your a C programmer Verilog HDL will fall straight into your lap. Its syntax is based on the C language (it was written for C programmers). I did my final year project based on the Spartan 3E FPGA. I myself knew a little C so Verilog was not that hard to learn. Its quite user friendly.

These days the cost of FPGA and CPLDs are coming down that you can use them as an alternative to microcontrollers. They used to be just for ASIC design etc (as dknguyen said) but they can be used for so much more now. There are so many pre made designs available it is very attractive alternative. Horses for courses and down to the skill set of the engineer at the end of the day.

The Spartan 3E FPGA is a Xilinx device. www.xilinx.com. you can download their software which includes a free simulator to simulate designs in either Verilog or VHDL without synthesising anything. If you want to actually use your designs you can then buy a prototype board with a CPLD or FPGA on it. There are many boards to choose from many manufacturers.

Hope it helps
 
thank you very much...

one last thing, where can I download the software used for VHDL and Verilog HDL? is it an open source?
 
HDL tools

You can get Quartus from Altera for free...

I MUST POST THREE TIMES TO GIVE YOU THE URL, HOLD ON
 
Hdl Tools 2

...It supports VHDL, Verilog and even Block Diagrams. Also waveform generation and editing for test-benches.

THAT'S TWO
 
Hdl Tools 3

...Be sure to try the net-list viewers like the RTL viewer. These will allow you to check that your signal "wiring" is correct.

THAT'S THREE
 
Last edited:
Does the Altera tool have synthesis as well?

A good VHDL book is Essential VHDL by Sundar Rajan.
 
Last edited:
@jeffro: thanks man... too excited I downloaded the 30-day trial software. now i have to download again. LOL
 
which of the two is better? I already have downloaded the quartus web edition and having problem installing xilinx because of my slow internet connection.
 
which of the two is better? I already have downloaded the quartus web edition and having problem installing xilinx because of my slow internet connection.

Heh, who knows? Both software suites are huge, fast and packed with goodies, they only seem to differ in the GUI. I've been using Quartus, XIlinx suite and lattices 'ispLEVER for years, similar layout but different in the way that you 'synthesize' designs. Ultimately I guess which software package you use is determined by what device you are planning to use. Although CPLD's and their respective development boardsa can be cheap, FPGA's tend to be quite expensive, so its not like most will buy a 'range' of different devices from different maufacturers.

Also some synthesis tools are manufacturer independant, 'synplify' (spelling?) is used for both Xilinx and lattice devices.

I would start with what you need, quartus is great but Xilinx seems to be a little more user friendly. Theres plenty of online dicumentation for both.

Blueteeth
 
folks
im just following up on stuff above, if i have quartus, is it all i need (once i write source code in there somewhere) to program FPGA ??
 
Assuming you have an Altera FPGA and a suitable programmer..yes! There are various 'add-ons' but I have never needed to use them, plus its expensive...they only serve to make massive designs easier to cope with anyway. With the chip, programmer, and software, you'll have enough to get you into some quite complex designs, more than enough to get started and for training.

Blueteth
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top