Proteus simulation

Status
Not open for further replies.

tmc1

New Member
I am a retired hobbyist that likes to dabble in electronics. I can build them okay but struggle with designing, therefore search the web for circuits to suit my needs or that can be modified.
I have an old version of Proteus [7.2] and as such I rely heavily on the simulation.

I wanted to use a ZN1034 but it does not exist in the library and do not have the knowhow to create a device with simulation function.

A search found a suitable circuit that uses a 4060 & a 4040, and these exist in the standard library.

The problem is the simulation will not run and something strange is happening!
The devices will not run in simulation without the 'Attach hierarchy module' checked in Edit Component.
But it will not simulate with both of the IC's boxes checked! If I tick the 4060 the 4040 does not run, if I tick the 4040, the 4060 does not run.

Can anyone help please?
 
Have you a picture of the simulation... If you need a precise timer out put just place a clock source in it's place...

I use ISIS 8.9 ( latest ) so I'll see if it runs on that..
 
Okay..Cannot get a timestep... Changed R1 RV1 and C1 to a digital clock input and its working.. Not sure what you are trying to achieve..
 
Right. I have a motorcaravan that has an onboard Sargent Charger [3 stage intelligent unit].
Tha van is on my drive on mains hookup.
This charger charges both the vehicle & leisure batteries as it is intelligent [won't go into detail as it's not necessary.
Although this charger can be left on 24/7, it is recommended that you turn it off every two weeks, add a bit of drain [only required for the leisure battery because the standard vehicle electronics will put a bit of drain on the vehicle battery] and then put back on charge again.
So that is why I thought that the circuit I found would do the job. Set for charger relay ON for two weeks and then OFF say for three days.
So the circuit that I found when one relay is ON the other should be OFF.
Not certain what you mean 'to a digital clockinput' but thanks anyway for spending the time and having a look.
Regards
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…