help for stepper motor delay time

Status
Not open for further replies.
i am using a STH-39D Shenino stepper motor, 1.8 degrees step angle. what is the delay time i should give to energise two adjacent colis. i have given a 1ms delay time and it failed ti rotate.

so wats the exact delay value for my motor
 
It depends how long you want between steps, to reduce the time (and make it faster) you need to power it from a MUCH higher voltage, with current limiting.

Start off with a longer delay time, then reduce it and see where it loses power.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…