Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

VHDL Project

Status
Not open for further replies.

leonidus

New Member
HI!!!
I need to do a digital project not with actual hardware components but using vhdl. Please suggest some.
Thank U!!!
 
Hi, Ayaskanta
In the link, there is no info. that I wanted. This sem as I told, need to do a vhdl project. Some topics &/or hints are reqd.. I am also trying. We need to use FPGA.
Please help!!!
 
Hi, Ayaskanta
In the link, there is no info. that I wanted. This sem as I told, need to do a vhdl project. Some topics &/or hints are reqd.. I am also trying. We need to use FPGA.
Please help!!!

Do you want very simple projects or what? Do you want very simple VHDL programmes like adders, flip-flops, couters, code-converters etc, or a little more complex. I don't know how simple your project must be. You give us some names. I'm sure there are many people here to advice you :)
 
VGA output to standard pc monitor would appeal to me. With FPGA you can create a simple [or sophisticated] gaming unit, although that may be too complex for your needs.
 
Which FPGA you are using?Also if you know Matlab/Simulink then you can go for processing rather than control.It will generate VHDL for you..Else if you want to do control then you can use Matlab/Simulink too(stateflow is my favourite).
 
Quote:
Originally Posted by Ayaskanta View Post
Do you want very simple projects or what? Do you want very simple VHDL programmes like adders, flip-flops, couters, code-converters etc, or a little more complex. I don't know how simple your project must be. You give us some names. I'm sure there are many people here to advice you
Some applications using adders,code-converters,etc. like a choclate vending machine(already taken by my friend).:)
Originally Posted by monkeybiter View Post
VGA output to standard pc monitor would appeal to me. With FPGA you can create a simple [or sophisticated] gaming unit, although that may be too complex for your needs.
Not too complex. I am new to this subject. I know how to writer programs for adders, mux, decoders,etc.
 
What do you think of a traffic light controller? Its one of the most common VHDL projects... do you want the code?
 
Traffic light controller/any other similar one may do. Presently,post the controller's code. Also, pls suggest some similar ones.
Thank U Very Much!!!:)
 
I think I found one of my college lab assignments on the web. Here is a walkthrough sheet which will help you design a single cycle processor. You can find referenced files in the pdf's directory.

For a more complex assignment we had designed a multi cycle processor, later on a pipeline processor - but don't get into that just yet.
 
Last edited:
The great Spartan Leonidas would be saddened that his name was used by someone who is unable to think on his own... Seriously, this is your project, you should come up with an idea and make it work. That is the whole idea of the assignment.

Here is an idea. Do the elevator control function. Easy state machine design...
 
Last edited:
is it just the simulation and the synthesis that you do or do you have to actually build things?
When is the assignment due?
 
Mikebits
The great Spartan Leonidas would be saddened that his name was used by someone who is unable to think on his own... Seriously, this is your project, you should come up with an idea and make it work. That is the whole idea of the assignment.

Here is an idea. Do the elevator control function. Easy state machine design...
The great Spartan would not be saddened surely as I am trying from my side to do something. I am searching in reference books for programs/hints.
The idea of an elevator cntrl fn. is nice one.

Ayaskanta
is it just the simulation and the synthesis that you do or do you have to actually build things?
When is the assignment due?
We have to design any digital application.Perform simulation & synthesize using a FPGA/CPLD. The next or next to next week may be the due.
 
Here's the VHDL code for Traffic Light Controller.

library ieee;
use ieee.std_logic_1164.all;
--------------------------------------------------------
ENTITY traffic_light IS
PORT(sensor : IN std_logic;
clock : IN std_logic;
red_light : OUT std_logic;
green_light : OUT std_logic;
yellow_light : OUT std_logic);
END traffic_light;

ARCHITECTURE simple OF traffic_light IS
TYPE t_state is (red, green, yellow);
SIGNAL present_state, next_state : t_state;
BEGIN
PROCESS(present_state, sensor)
BEGIN
CASE present_state IS
WHEN green =>
next_state <= yellow;
red_light <= '0';
green_light <= '1';
yellow_light <= '0';
WHEN red =>
red_light <= '1';
green_light <= '0';
yellow_light <= '0';
IF (sensor = '1') THEN
next_state <= green;
ELSE
next_state <= red;
END IF;
WHEN yellow =>
red_light <= '0';
green_light <= '0';
yellow_light <= '1';
next_state <= red;
END CASE;
END PROCESS;

PROCESS
BEGIN
WAIT UNTIL clock'EVENT and clock = '1';
present_state <= next_state;
END PROCESS;
END simple;
 
Last edited:
same problem

hi i am beginner in vhdl projects.i have a very basic knowledge in vhdl.please can u suggest me any easy project in vhdl other than traffic light controller
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top