Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

Fpga ram

Status
Not open for further replies.

AtomSoft

Well-Known Member
Need some help not sure how to access BRAM (BLOCK RAM)

I made a small project here but still am getting lost. The project is a simple 2 state device. On state 1 it will write to address 0x00 the byte 0xAA and erase the READ variable/vector

and in state 2 it will read address 0x00 and should report 0xAA back.

I get a Multi-Source error before it does anything in the compile...

ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<7>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<6>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<5>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<4>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<3>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<2>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<1>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <Test2> on signal <DATAR<0>>; this signal is connected to multiple drivers.
 

Attachments

  • RamTest02.zip
    49.9 KB · Views: 105
Status
Not open for further replies.

Latest threads

Back
Top