Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

Adding fixed amount of clock cycles delay to a signal?

Status
Not open for further replies.
use Q9 only or use the shifted bit only, but not both.
 
I see a notch in the ramp which I cna understand, but is there anyway to make this flat rather than looking like in the attachment?
You can hold the counter at zero during the SR delay (hold the 4040 Reset input high).
That could be done with a flip-flop or latch which is set by the counter zero output to hold the counter at zero, and reset by the SR output to start the count.
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top