altera

  1. T

    FPGA Troubleshooting

    I'm having difficulties troubleshooting a possible unintended latch. The board I'm testing with is an Altera DE2-115. I'm using the platform designer and the VHDL code that I had to enter was just the component and ports: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all...
Cookies are required to use this site. You must accept them to continue using the site. Learn more…