what is oshonsoft?

Status
Not open for further replies.
Good question on an Oshonsoft forum!!! Give it a go, I find it very good software for the price.

Cheers Ian
 
If you load the HEX file using the Oshonsoft menus, the program will run

one file which compile with CSC C & get susecsfuly hex file .load the HEX file using the Oshonsoft menu.Oshonsoft show as PNG file..



CSC C compiler show as..
>>> Warning 203 "main.c" Line 76(1,1): Condition always TRUE
Memory usage: ROM=53% RAM=17% - 30%
0 Errors, 1 Warnings.
 

Attachments

  • 676.PNG
    81.7 KB · Views: 461
why its only running 5 instructions in Oshon Soft.

It will run only the first 5 instructions, the next instruction is CALL 0x3FF, which normally is the internal oscillator calibration value.

As this CALL doesn't get a RETURN in Oshonsoft, the program simulation will terminate.

I would suggest in the binary hex file you change at address 0x0004 the Opcode 0x23FF to 0x0000 [ which will remove that CALL]

Note: Oshonsoft is not able to use the SLEEP instruction.
 

Attachments

  • AAesp01.gif
    5.4 KB · Views: 403
Note: Oshonsoft is not able to use the SLEEP instruction.
Shame. Is that true of the licenced software or just the trial version? That 'feature' rules it out for many battery-powered projects. Perhaps that could be mentioned in your Sticky, Eric?
 
Thanks for that Eric.... I use it extensively, never needed that sort of timing precision though.. So I don't use the osctune value....

I know for the future
 
Shame. Is that true of the licenced software or just the trial version? That 'feature' rules it out for many battery-powered projects. Perhaps that could be mentioned in your Sticky, Eric?

hi alec,
Extract from OSH doc 'pichelptopics.txt'
<topic>Special notes

- Watchdog Timer is not simulated (CLRWDT instruction is executed as NOP)
- Power-down Mode is not simulated (SLEEP instruction will stop the simulation)
 
Ah, understood. I thought we were talking about compiled code, not simulation.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…