Sending serial data

Status
Not open for further replies.

zenhuynh

New Member
Hi all

I wanna write a ASCII character to output IO_B1 at pin_n24 (attached), this pin is connect to one of the expansion header which attach to breadboard.
I use IOWR_ALTERA_AVALON_PIO_DATA(DATAOUT_BASE, 0x47);
i wanna see this signal out in the oscillator as arbitrary code, but the signal out was just stay at 3.3v high level no change
is there any other C code to output data?
Please help me
 

Attachments

  • untitled2.jpg
    317.4 KB · Views: 167
Doesn't look like C code to me. Looks like an Altera FPGA schematic in Quartus II. How are we supposed to know what is inside the box, my friend?
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…