Crystal Oscillator Help

Status
Not open for further replies.

regomodo

New Member
Hi, for my FPGA project i need a fancy clock. I've designed all that using vhdl but it requires an external clock.

I have chosen values in my VHDL that would work with a 4MHz signal. I have my eyes on a 2pin 4MHz crystal +- 20ppm.

However, i have no idea how to use it. There is no datasheet (presumably because it's such a simple device).

Do i connect 1 pin to +5v and the other to my FPGA?

Any help would be greatly received.

Thanks
 
No, you need to build an oscillator around it - presumably your FPGA includes that capability?, or you can use a CMOS gate to do it (google crystal oscillator).
 
Cheers. That makes more sense. I haven't the time to do more designing so i think i'll use a 4 Pin SG-51 series crystal oscillator chip. As far as i can tell it has 5v in and creates the 4MHz 0/5v output signal (i'm a little hazy on that issue)

**broken link removed**

Let me know if i'm wrong.


As far as i know (and according to a more knowledgeable classmate) the spartan 2e varies it's clock speed.

If anybody knows how to create a 1ms high/249ms low clock signal in VHDL i'd like to know as days of googling has found nothing. Plus, the only VHDL book at my university is hopeless. It hasn't helped much (except for a minimal counter design).

Thanks again
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…