Verilog FPGA - control Servo motors

Status
Not open for further replies.

nickyliam

New Member
Hi. I have a projet of using Altera DE2 board to control servo motors. I have problem with the verilog code, PWM. Does any has sample code for me to refer? Hope someone could save me .

thank you very much
=)
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…