Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

Modelsim Error Message - Verilog

Status
Not open for further replies.

wuchy143

Member
Hi All,

I'm trying to get some experience using Modelsim so I'm quite a noob with it. That said. When trying to run through their simple example from their tutorial I do everything they say and then when I go to run the counter I get the following error.

# Loading work.test_counter
# Loading work.counter
# ** Error: (vsim-3009) [TSCALE] - Module 'test_counter' does not have a timeunit/timeprecision specification in effect, but other modules do.
# Region: /test_counter/dut
# Error loading design

It is mentioning a timeunit/timeprecision spec but these are their files...they should be all set? Does anyone know of a good resource for Modelsim? Their website doesn't seem too helpful.

Thanks
 
I know absolutly nothing about your program, but it sounds like you need to specify how long you want it to run (Long enough to see the whole counter count) and how big each measurement step is.
 
Thanks ronv. I figured it out. I saw that the provided testbench does not have a timescale so I added it in, saved it, but never re-compiled.(my bad) So when I'd go to simulate it was still simming the incorrect test bench. When I re-compile the SIM works great. Thanks for your advice. Now I can tool around with modelsim!!
 

Attachments

  • Untitled.jpg
    Untitled.jpg
    389.6 KB · Views: 762
Last edited:
hi wuchy143,

i'm newbie in modelsim, i face same problem as u when i using modelsim 10.0a. how to add the timescale in the test_counter?
 
hi wuchy143,

i'm newbie in modelsim, i face same problem as u when i using modelsim 10.0a. how to add the timescale in the test_counter?

`timescale 1 ns / 1 ps

put the time scale at the beginning of your test bench.(very top of page) and then recompile and then enter sim. I'm pretty sure they already have the time stamp in the module you are trying to test.
 
PHP:
 `timescale 1 ns / 1 ps

put the time scale at the beginning of your test bench.(very top of page) and then recompile and then enter sim. I'm pretty sure they already have the time stamp in the module you are trying to test.

hi wuchy 143,

you mean add `timescale 1ns / 1ps at the beginning line in the tcounter.v file?
thanks for u help.
 
dear wuchy143,

after the tcounter.v simulate i try to do the step Select Add > To Wave > All items in region but the transcript give me this error

add wave sim:/test_counter/*
# (vish-4014) No objects found matching '/test_counter/*'.

do you face this error before?
thanks for your help.
 
Last edited:
PHP:
 `timescale 1 ns / 1 ps

put the time scale at the beginning of your test bench.(very top of page) and then recompile and then enter sim. I'm pretty sure they already have the time stamp in the module you are trying to test.

hi wuchy 143,

you mean add `timescale 1ns / 1ps at the beginning line in the tcounter.v file?
thanks for u help.

dear wuchy143,

after the tcounter.v simulate i try to do the step Select Add > To Wave > All items in region but the transcript give me this error

add wave sim:/test_counter/*
# (vish-4014) No objects found matching '/test_counter/*'.

do you face this error before?
thanks for your help.

TQ for ur all help problem solved already, i do the silly mistake forget to change dir.
thanks all.
 
dear wuchy143,

after the tcounter.v simulate i try to do the step Select Add > To Wave > All items in region but the transcript give me this error

add wave sim:/test_counter/*
# (vish-4014) No objects found matching '/test_counter/*'.

do you face this error before?
thanks for your help.

oops just reloaded page. didn't see you figured it out.
 
Last edited:
shodowlancer,

I have same problem of "# (vish-4014) No objects found matching '/test_counter/*'".
Can you let me know what you did excatly.
Thanks in advance.
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top