measuring time period

Status
Not open for further replies.

KDANDAVATE

New Member
hI,
I want to code 89c51 for frequency measurement.
I am feeding the signal to interuppt & start the timer & counter after 1 sec i will stop the timer so i will get the period of pulse.
can anyone there provide me the code Please its urgent

u can mail me the same at kdandavate@celetronix.com
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…