Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

led pwm problem

Status
Not open for further replies.

bouvett

New Member
hi,
i generated a code (attached with this post) which is made to increase/ decrease the intensity of an led depending on some input...

now i did the code and when i tested on mplab sim and proteus and it worked very fine. i then tried this practically but it works wrong, i tried this on 3 different boards and it still doesn't work...

the output through an oscilloscope was actually working well but the duty cycle is horrendous.. it has 10 steps. the first step and last work well, but the ones in between don't..
when i increment the percentage increase in duty cycle increases very little and at the last step it increases immediatly..

can anyone help please?
thanks a lot
 

Attachments

  • led code.txt
    4.3 KB · Views: 211
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top