Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

countdown timer code

Status
Not open for further replies.

ah_Hui

New Member
I'm new to electronics and currently using Atmel 89c51 for my project.
Part of the project is a countdown timer with 4 units of 7segment display.
Once the timer reaches zero, it will trigger a dc motor.
Can some one provide me some idea on how to write the timer part?
Perheps can show me a sample code with only a digit of 7segment as a countdown timer for my reference...in addition, a button is added to increment the timer digit...
I referred to 8052.com and found a useful tutorial there providing me on how to trigger the motor once the timer reaches zero.
I'm stuck on the timer display part...

thanks
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top