Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

vhdl

  1. T

    FPGA Troubleshooting

    I'm having difficulties troubleshooting a possible unintended latch. The board I'm testing with is an Altera DE2-115. I'm using the platform designer and the VHDL code that I had to enter was just the component and ports: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all...
  2. S

    VHDL Order 0s and 1s

    Hey guys! :D I need to do something on VHDL. The problems is this: I have a 8 bit input, and i have to order the bits of it. The zeros to the right and the Ones to the left. Example : input <= "01100011" output<= "11110000" I need to count the number of zeros or ones but without foor loop. With...

Latest threads

New Articles From Microcontroller Tips

Back
Top